EDA流水灯
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity light is
port(clk1: in std_logic;
light: buffer std_logic_vector(7 downto 0));
end light;
architecture behv of light11 is
constant len: integer:=7;
signal banner: std_logic:='0';
signal clk,clk2: std_logic;
begin
-- clk<=(clk1 and banner) or (clk2 and not banner);
process(clk1)
begin
if clk1'event and clk1='1' then
clk2<=not clk2 ;
end if;
end process;
-- process(clk1,clk2,banner)
process(clk)
variable flag: bit_vector(3 downto 0):="0000";
begin
clk<=(clk1 and banner) or (clk2 and not banner);
if clk'event and clk='1' then
if flag="0000" then
light<="11111111";
flag:="0001";
elsif flag="0001" then light<="00000000"; flag:="0010";
elsif flag="0010" then light<="11111111";
flag:="0011";
elsif flag="0011" then light<="00000000";
flag:="0100";
elsif flag="0100" then light<="00000001" ;
flag:="0101";
elsif flag="0101" then light<="00000100"; flag:="0110";
elsif flag="0110" then light<="00010000"; flag:="0111";
elsif flag="0111" then light<="01000000";
flag:="1000";
elsif flag="1000" then light<="01010101";
flag:="1001";
百度搜索“77cn”或“免费范文网”即可找到本站免费阅读全部范文。收藏本站方便下次阅读,免费范文网,提供经典小说综合文库EDA流水灯在线全文阅读。
相关推荐: